Eliyan raises $60M for chiplet interconnects that speed up AI chips

by | Mar 25, 2024 | Technology

Join Gen AI enterprise leaders in Boston on March 27 for an exclusive night of networking, insights, and conversations surrounding data integrity. Request an invite here.

Eliyan has raised $60 million in funding for its chiplet interconnect technology that speeds up the processing for AI chips.

Samsung Catalyst Fund and Tiger Global Management both led the round to help the team address the challenges of development of generative AI chips. Driven by demand for AI chips, industry forecasters are calling for robust growth in the high-bandwidth memory (HBM) sector, as much as 331% increase this year, followed by 124% in 2025, according to market researcher Arete Research.

Eliyan’s UCIe-, BoW-, or UMI-compliant PHY (dubbed NuLink PHY) addresses memory and IO wall constraints on either advanced or standard packaging material. A PHY is a physical layer of the OSI model. An instantiation of PHY connects a link layer device (often called a MAC) to a physical medium such as an optical fiber or copper cable. Now it’s being applied to multi-chip solutions, which allow chip makers to connect multiple chiplets on the same device.

Eliyan’s chiplet interconnect technology achieves up to four times the performance and half the power of other solutions, the company said.

VB Event
The AI Impact Tour – Atlanta

Continuing our tour, we’re headed to Atlanta for the AI Impact Tour stop on April 10th. This exclusive, invite-only event, in partnership with Microsoft, will feature discussions on how generative AI …

Article Attribution | Read More at Article Source

[mwai_chat context=”Let’s have a discussion about this article:nn
Join Gen AI enterprise leaders in Boston on March 27 for an exclusive night of networking, insights, and conversations surrounding data integrity. Request an invite here.

Eliyan has raised $60 million in funding for its chiplet interconnect technology that speeds up the processing for AI chips.

Samsung Catalyst Fund and Tiger Global Management both led the round to help the team address the challenges of development of generative AI chips. Driven by demand for AI chips, industry forecasters are calling for robust growth in the high-bandwidth memory (HBM) sector, as much as 331% increase this year, followed by 124% in 2025, according to market researcher Arete Research.

Eliyan’s UCIe-, BoW-, or UMI-compliant PHY (dubbed NuLink PHY) addresses memory and IO wall constraints on either advanced or standard packaging material. A PHY is a physical layer of the OSI model. An instantiation of PHY connects a link layer device (often called a MAC) to a physical medium such as an optical fiber or copper cable. Now it’s being applied to multi-chip solutions, which allow chip makers to connect multiple chiplets on the same device.

Eliyan’s chiplet interconnect technology achieves up to four times the performance and half the power of other solutions, the company said.

VB Event
The AI Impact Tour – Atlanta

Continuing our tour, we’re headed to Atlanta for the AI Impact Tour stop on April 10th. This exclusive, invite-only event, in partnership with Microsoft, will feature discussions on how generative AI …nnDiscussion:nn” ai_name=”RocketNews AI: ” start_sentence=”Can I tell you more about this article?” text_input_placeholder=”Type ‘Yes'”]

Share This